ALU testbench

This commit is contained in:
Adrien Bourmault 2021-12-10 15:39:52 +01:00
parent d983073bec
commit f1560a6556
No known key found for this signature in database
GPG Key ID: 6EB408FE0ACEC664
1 changed files with 1 additions and 1 deletions

View File

@ -33,7 +33,7 @@ architecture Structurel of ALU is
end function;
begin
alu_0 : entity work.alu(behavioral)
alu_0 : entity work.alu
port map(
op1 => op1,
op2 => op2,