From f1560a6556ecab874f5e36388f8fd8cfc7e14e5e Mon Sep 17 00:00:00 2001 From: Adrien Bourmault Date: Fri, 10 Dec 2021 15:39:52 +0100 Subject: [PATCH] ALU testbench --- alu_tb.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/alu_tb.vhdl b/alu_tb.vhdl index 487611c..b1c3bc6 100644 --- a/alu_tb.vhdl +++ b/alu_tb.vhdl @@ -33,7 +33,7 @@ architecture Structurel of ALU is end function; begin - alu_0 : entity work.alu(behavioral) + alu_0 : entity work.alu port map( op1 => op1, op2 => op2,