diff --git a/alu_tb.vhdl b/alu_tb.vhdl index 487611c..b1c3bc6 100644 --- a/alu_tb.vhdl +++ b/alu_tb.vhdl @@ -33,7 +33,7 @@ architecture Structurel of ALU is end function; begin - alu_0 : entity work.alu(behavioral) + alu_0 : entity work.alu port map( op1 => op1, op2 => op2,