ALU_tb fonctionnel
This commit is contained in:
parent
83229c5c85
commit
98d8c2788e
|
@ -3,10 +3,10 @@ use ieee.math_real.all;
|
||||||
use ieee.numeric_std.all;
|
use ieee.numeric_std.all;
|
||||||
use ieee.std_logic_1164.all;
|
use ieee.std_logic_1164.all;
|
||||||
|
|
||||||
entity ALU is
|
entity ALU_tb is
|
||||||
end ALU;
|
end ALU_tb;
|
||||||
|
|
||||||
architecture Structurel of ALU is
|
architecture Structurel of ALU_tb is
|
||||||
|
|
||||||
--! ######## signals for component ########
|
--! ######## signals for component ########
|
||||||
|
|
||||||
|
@ -33,7 +33,7 @@ architecture Structurel of ALU is
|
||||||
end function;
|
end function;
|
||||||
|
|
||||||
begin
|
begin
|
||||||
alu_0 : entity work.alu
|
alu_0 : entity work.ALU
|
||||||
port map(
|
port map(
|
||||||
op1 => op1,
|
op1 => op1,
|
||||||
op2 => op2,
|
op2 => op2,
|
||||||
|
|
Loading…
Reference in New Issue