Revert "ALU_tb fonctionnel"

This reverts commit 25f67ed707.
This commit is contained in:
Adrien Bourmault 2021-12-10 15:46:48 +01:00
parent 25f67ed707
commit 83229c5c85
No known key found for this signature in database
GPG Key ID: 6EB408FE0ACEC664
4 changed files with 7 additions and 8 deletions

View File

@ -1,5 +1,5 @@
GHDL = ghdl
all : sim_alu sim_shifter
all : sim_alu #sim_shifter
%.o : %.vhdl
${GHDL} -a -g -v $^

View File

@ -3,10 +3,10 @@ use ieee.math_real.all;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity ALU_tb is
end ALU_tb;
entity ALU is
end ALU;
architecture Structurel of ALU_tb is
architecture Structurel of ALU is
--! ######## signals for component ########
@ -33,7 +33,7 @@ architecture Structurel of ALU_tb is
end function;
begin
alu_0 : entity work.ALU
alu_0 : entity work.alu
port map(
op1 => op1,
op2 => op2,

View File

@ -39,7 +39,6 @@ architecture Shifter_bhvr of Shifter is
begin
temp_dout := din;
temp_cout := cin;
-- LSL -------------------------------------------------------------
-- 1
if(shift_lsl='1' and shift_val(0)='1')

View File

@ -39,8 +39,8 @@ port map(
process
begin
shift_ror <= '1';
shift_val <= "00100";
din <= std_logic_vector(to_unsigned(4, 32));
shift_val <= "00010";
din <= std_logic_vector(to_unsigned(32654, 32));
wait for 5 ns;
report "dout = " & integer'image(to_integer(unsigned(dout)));
WAIT;