diff --git a/Makefile b/Makefile index 6d23e60..a450fe7 100644 --- a/Makefile +++ b/Makefile @@ -1,5 +1,5 @@ GHDL = ghdl -all : sim_alu sim_shifter +all : sim_alu #sim_shifter %.o : %.vhdl ${GHDL} -a -g -v $^ diff --git a/alu_tb.vhdl b/alu_tb.vhdl index 8140258..b1c3bc6 100644 --- a/alu_tb.vhdl +++ b/alu_tb.vhdl @@ -3,10 +3,10 @@ use ieee.math_real.all; use ieee.numeric_std.all; use ieee.std_logic_1164.all; -entity ALU_tb is -end ALU_tb; +entity ALU is +end ALU; -architecture Structurel of ALU_tb is +architecture Structurel of ALU is --! ######## signals for component ######## @@ -33,7 +33,7 @@ architecture Structurel of ALU_tb is end function; begin - alu_0 : entity work.ALU + alu_0 : entity work.alu port map( op1 => op1, op2 => op2, diff --git a/shifter.vhdl b/shifter.vhdl index 3abbd1c..c31e11e 100644 --- a/shifter.vhdl +++ b/shifter.vhdl @@ -39,7 +39,6 @@ architecture Shifter_bhvr of Shifter is begin temp_dout := din; temp_cout := cin; - -- LSL ------------------------------------------------------------- -- 1 if(shift_lsl='1' and shift_val(0)='1') diff --git a/shifter_tb.vhdl b/shifter_tb.vhdl index 0d96093..fc43d87 100644 --- a/shifter_tb.vhdl +++ b/shifter_tb.vhdl @@ -39,8 +39,8 @@ port map( process begin shift_ror <= '1'; - shift_val <= "00100"; - din <= std_logic_vector(to_unsigned(4, 32)); + shift_val <= "00010"; + din <= std_logic_vector(to_unsigned(32654, 32)); wait for 5 ns; report "dout = " & integer'image(to_integer(unsigned(dout))); WAIT;