ALU_tb fonctionnel

This commit is contained in:
Adrien Bourmault 2021-12-10 15:47:12 +01:00
parent 83229c5c85
commit 98d8c2788e
No known key found for this signature in database
GPG Key ID: 6EB408FE0ACEC664
1 changed files with 4 additions and 4 deletions

View File

@ -3,10 +3,10 @@ use ieee.math_real.all;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
entity ALU is
end ALU;
entity ALU_tb is
end ALU_tb;
architecture Structurel of ALU is
architecture Structurel of ALU_tb is
--! ######## signals for component ########
@ -33,7 +33,7 @@ architecture Structurel of ALU is
end function;
begin
alu_0 : entity work.alu
alu_0 : entity work.ALU
port map(
op1 => op1,
op2 => op2,