Gitignore

This commit is contained in:
Adrien Bourmault 2021-10-27 11:08:27 +02:00
parent 4f4cc60531
commit 6e4254b6ef
No known key found for this signature in database
GPG Key ID: 6EB408FE0ACEC664
2 changed files with 16 additions and 12 deletions

View File

@ -13,26 +13,30 @@ adder32.o : adder32.vhdl adder1.o
adder32_tb.o : adder32_tb.vhdl adder32.o
${GHDL} -a -v adder32_tb.vhdl
alu.o : alu.vhdl adder32.o
${GHDL} -a -v alu.vhdl
adder32_tb : adder1.o adder32.o adder32_tb.o
${GHDL} -e -v adder32_tb
alu_tb.o : alu_tb.vhdl alu.o
${GHDL} -a -v alu_tb.vhdl
shifter.o : shifter.vhdl
${GHDL} -a -v shifter.vhdl
shifter_tb.o : shitfter_tb.vhdl shifter.o
${GHDL} -a -v shifter_tb.vhdl
adder32_tb : adder1.o adder32.o adder32_tb.o
${GHDL} -e -v adder32_tb
shifter_tb : shitfter.o shitfter_tb.o
${GHDL} -e -v shifter_tb
alu.o : alu.vhdl adder32.o
${GHDL} -a -v alu.vhdl
alu_tb.o : alu_tb.vhdl alu.o
${GHDL} -a -v alu_tb.vhdl
alu_tb : adder1.o adder32.o alu.o alu_tb.o
${GHDL} -e -v alu_tb
shifter_tb : shitfter.o shitfter_tb.o
${GHDL} -e -v shifter_tb
sim_adder32 : adder32_tb
${GHDL} -r adder_32bit_tb --vcd=alu.vcd

View File

@ -1,4 +1,4 @@
v 4
file . "adder1.vhdl" "a49b2e44f386a417daff4e60add5fa1c51cd5e86" "20211027090039.434":
entity adder1_ent at 1( 0) + 0 on 21;
architecture adder1 of adder1_ent at 18( 281) + 0 on 22;
file . "adder1.vhdl" "a49b2e44f386a417daff4e60add5fa1c51cd5e86" "20211027090651.627":
entity adder1_ent at 1( 0) + 0 on 23;
architecture adder1 of adder1_ent at 18( 281) + 0 on 24;