From e2930527c10c462af4d883de4eecb67521cefe67 Mon Sep 17 00:00:00 2001 From: Adrien Bourmault Date: Fri, 21 Jan 2022 01:08:47 +0100 Subject: [PATCH] EXE tb --- reg_tb.vhdl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/reg_tb.vhdl b/reg_tb.vhdl index 0252573..55d1e5f 100644 --- a/reg_tb.vhdl +++ b/reg_tb.vhdl @@ -173,7 +173,7 @@ begin for_registers : for i in 0 to 15 loop radr1_tb <= std_logic_vector(to_unsigned(i, radr1_tb'length)); - report "[debug] radr1_tb = " & integer'image(to_integer(unsigned(radr1_tb))); + report "radr1_tb = " & integer'image(to_integer(unsigned(radr1_tb))); radr2_tb <= std_logic_vector(to_unsigned(i, radr2_tb'length)); radr3_tb <= std_logic_vector(to_unsigned(i, radr3_tb'length)); end loop for_registers;