diff --git a/reg_tb.vhdl b/reg_tb.vhdl index 0252573..55d1e5f 100644 --- a/reg_tb.vhdl +++ b/reg_tb.vhdl @@ -173,7 +173,7 @@ begin for_registers : for i in 0 to 15 loop radr1_tb <= std_logic_vector(to_unsigned(i, radr1_tb'length)); - report "[debug] radr1_tb = " & integer'image(to_integer(unsigned(radr1_tb))); + report "radr1_tb = " & integer'image(to_integer(unsigned(radr1_tb))); radr2_tb <= std_logic_vector(to_unsigned(i, radr2_tb'length)); radr3_tb <= std_logic_vector(to_unsigned(i, radr3_tb'length)); end loop for_registers;