diff --git a/e~shifter_tb.o b/e~shifter_tb.o deleted file mode 100644 index 3a76726..0000000 Binary files a/e~shifter_tb.o and /dev/null differ diff --git a/shifter.o b/shifter.o deleted file mode 100644 index 55d7289..0000000 Binary files a/shifter.o and /dev/null differ diff --git a/shifter.vcd b/shifter.vcd deleted file mode 100644 index 59cdce2..0000000 --- a/shifter.vcd +++ /dev/null @@ -1,62 +0,0 @@ -$date - Wed Oct 27 12:29:22 2021 -$end -$version - GHDL v0 -$end -$timescale - 1 fs -$end -$var reg 1 ! shift_lsl $end -$var reg 1 " shift_lsr $end -$var reg 1 # shift_asr $end -$var reg 1 $ shift_ror $end -$var reg 1 % shift_rrx $end -$var reg 5 & shift_val[4:0] $end -$var reg 32 ' din[31:0] $end -$var reg 1 ( cin $end -$var reg 32 ) dout[31:0] $end -$var reg 1 * cout $end -$var reg 1 + vdd $end -$var reg 1 , vss $end -$scope module shift $end -$var reg 1 - shift_lsl $end -$var reg 1 . shift_lsr $end -$var reg 1 / shift_asr $end -$var reg 1 0 shift_ror $end -$var reg 1 1 shift_rrx $end -$var reg 5 2 shift_val[4:0] $end -$var reg 32 3 din[31:0] $end -$var reg 1 4 cin $end -$var reg 32 5 dout[31:0] $end -$var reg 1 6 cout $end -$var reg 1 7 vdd $end -$var reg 1 8 vss $end -$upscope $end -$enddefinitions $end -#0 -0! -0" -0# -1$ -0% -b00010 & -b00000000000000000111111110001110 ' -0( -b10000000000000000001111111100011 ) -1* -1+ -0, -0- -0. -0/ -10 -01 -b00010 2 -b00000000000000000111111110001110 3 -04 -b10000000000000000001111111100011 5 -16 -17 -08 -#5000000 diff --git a/shifter_tb b/shifter_tb deleted file mode 100755 index 12f4793..0000000 Binary files a/shifter_tb and /dev/null differ diff --git a/shifter_tb.o b/shifter_tb.o deleted file mode 100644 index d7d22c2..0000000 Binary files a/shifter_tb.o and /dev/null differ diff --git a/work-obj93.cf b/work-obj93.cf deleted file mode 100644 index 756601d..0000000 --- a/work-obj93.cf +++ /dev/null @@ -1,7 +0,0 @@ -v 4 -file . "shifter_tb.vhdl" "91f07b86fce3e94d1a78f6ff81549324e4c127b6" "20211027102922.006": - entity shifter_tb at 1( 0) + 0 on 69; - architecture structurel of shifter_tb at 9( 132) + 0 on 70; -file . "shifter.vhdl" "19ae95a81c4d07ea688fa5629b00a8ab21404624" "20211027101726.934": - entity shifter at 1( 0) + 0 on 53; - architecture shifter_bhvr of shifter at 33( 791) + 0 on 54;