projet-fpga/Registre_DCC_TB.vhd

12 lines
276 B
VHDL
Raw Normal View History

2022-03-21 10:29:51 +01:00
library ieee;
use ieee.std_logic_1164.all;
entity registre_dcc_tb is
end registre_dcc_tb;
architecture tb of registre_dcc_tb is
2022-05-09 08:41:51 +02:00
signal trame_dcc : std_logic_vector(50 donwto 0);
signal clk, reset, shift, load : std_logic;
2022-03-21 10:29:51 +01:00
signal sout : std_logic;
begin
2022-05-09 08:41:51 +02:00
end tb;